Xilinx UG018 User Manual

Browse online or download User Manual for Processors Xilinx UG018. Xilinx UG018 User's Manual

  • Download
  • Add to my manuals
  • Print
  • Page
    / 236
  • Table of contents
  • BOOKMARKS
  • Rated. / 5. Based on customer reviews

Summary of Contents

Page 1 - Block Reference Guide

RPowerPC™ 405 Processor Block Reference GuideEmbedded Development KitUG018 (v2.0) August 20, 2004

Page 2 - 1-800-255-7778

10 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Preface: About This GuideRAdditional Resource

Page 3 - UG018 (v2.0) August 20, 2004

100 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRIn Virtex

Page 4

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 101UG018 (v2.0) August 20, 2004 1-800-255-7778Rblocks that are associated with each PowerP

Page 5 - Table of Contents

102 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRIn Virtex

Page 6

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 103UG018 (v2.0) August 20, 2004 1-800-255-7778R(CPMC405CLOCK), the access times out. No er

Page 7

104 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRVirtex-4-

Page 8

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 105UG018 (v2.0) August 20, 2004 1-800-255-7778RExternal DCR Bus Interface I/O Signal Descr

Page 9 - Guide Contents

106 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRThe proce

Page 10 - Conventions

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 107UG018 (v2.0) August 20, 2004 1-800-255-7778RDCR Interface 1:1 Clocking, Latched Acknowl

Page 11 - Online Document

108 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRDCR Inter

Page 12 - Registers

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 109UG018 (v2.0) August 20, 2004 1-800-255-7778RDCR Interface 1:2 Clocking, Latched Acknowl

Page 13 - Register Descriptive Name

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 11UG018 (v2.0) August 20, 2004 1-800-255-7778ROnline DocumentThe following conventions ar

Page 14 - Preface: About This Guide

110 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRinterrupt

Page 15

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 111UG018 (v2.0) August 20, 2004 1-800-255-7778REIC Interface I/O Signal DescriptionsThe fo

Page 16

112 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRJTAG Inte

Page 17 - PowerPC Architecture

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 113UG018 (v2.0) August 20, 2004 1-800-255-7778RC405JTGSHIFTDR (Output)This output is asser

Page 18

114 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRThe six l

Page 19 - Operating Environment

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 115UG018 (v2.0) August 20, 2004 1-800-255-7778RThe PPC405 cores do not have their own BSDL

Page 20

116 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRFigure 2-

Page 21 - PowerPC 405 Software Features

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 117UG018 (v2.0) August 20, 2004 1-800-255-7778RFigure 2-43: Correct Wiring of JTAG Chains

Page 22 - Address Translation Modes

118 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRFigure 2-

Page 23 - Register Set Summary

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 119UG018 (v2.0) August 20, 2004 1-800-255-7778RConnecting PPC405 JTAG Logic in Series with

Page 24 - General-Purpose Registers

12 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Preface: About This GuideRGeneral Conventions

Page 25 - Device Control Registers

120 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRWhen the

Page 26 - Central-Processing Unit

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 121UG018 (v2.0) August 20, 2004 1-800-255-7778RFor devices with more than one PPC405 core,

Page 27 - Memory Management Unit

122 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesR);end com

Page 28 - Instruction and Data Caches

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 123UG018 (v2.0) August 20, 2004 1-800-255-7778R.C405JTGSHIFTDR (),.C405JTGUPDATEDR (),.C40

Page 29 - PowerPC 405 Interfaces

124 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRsignal TD

Page 30 - PowerPC 405 Performance

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 125UG018 (v2.0) August 20, 2004 1-800-255-7778R.C405JTGTDO (TDO_PPC),.JTGC405BNDSCANTDO ()

Page 31

126 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRcomponent

Page 32

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 127UG018 (v2.0) August 20, 2004 1-800-255-7778RU_JTAG : JTAGPPCport map (TDOTSPPC => TD

Page 33 - Input/Output Interfaces

128 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesR.JTGC405B

Page 34 - Signal Naming Conventions

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 129UG018 (v2.0) August 20, 2004 1-800-255-7778R Debug Interface I/O Signal DescriptionsThe

Page 35

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 13UG018 (v2.0) August 20, 2004 1-800-255-7778RTermsTCR Timer-control registerTSR Timer-sta

Page 36 - UG018_02_01_051204

130 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRIn system

Page 37

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 131UG018 (v2.0) August 20, 2004 1-800-255-7778RC405DBGSTOPACK (Output)When asserted, this

Page 38

132 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesR Trace In

Page 39 - C405CPMCORESLEEPREQ (Output)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 133UG018 (v2.0) August 20, 2004 1-800-255-7778RFPGA logic can combine these signals with t

Page 40 - Virtex-4 Specific

134 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRC405TRCTR

Page 41 - CPU Control Interface

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 135UG018 (v2.0) August 20, 2004 1-800-255-7778RPVR Interface I/O Signal DescriptionsThe fo

Page 42 - TIEC405DISOPERANDFWD (Input)

136 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRAdditiona

Page 43 - Reset Interface

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 137UG018 (v2.0) August 20, 2004 1-800-255-7778RMCBTIMEREN (Input)When asserted, this signa

Page 44 - UG018_03_102001

138 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesR

Page 45 - C405RSTSYSRESETREQ (Output)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 139UG018 (v2.0) August 20, 2004 1-800-255-7778RChapter 3PowerPC 405 OCM ControllerIntrodu

Page 46 - RSTC405RESETSYS (Input)

14 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Preface: About This GuideRexception An abnorm

Page 47 - JTGC405TRSTNEG (Input)

140 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRCompa

Page 48

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 141UG018 (v2.0) August 20, 2004 1-800-255-7778RFeatures for Instruction-Side OCM (ISOCM)T

Page 49

142 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerROCM C

Page 50 - Guarded Storage

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 143UG018 (v2.0) August 20, 2004 1-800-255-7778Rup with the value on the input ports: DSAR

Page 51

144 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRregis

Page 52 - C405PLBICUABUS[0:29] (Output)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 145UG018 (v2.0) August 20, 2004 1-800-255-7778RDSOCM PortsFigure 3-2 and Figure 3-3 are t

Page 53 - C405PLBICUCACHEABLE (Output)

146 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRDSOCM

Page 54 - C405PLBICUABORT (Output)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 147UG018 (v2.0) August 20, 2004 1-800-255-7778RDSOCM Input Ports: AttributesAttributes ar

Page 55 - PLBC405ICUSSIZE1 (Input)

148 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRDSOCM

Page 56 - PLBC405ICURDDACK (Input)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 149UG018 (v2.0) August 20, 2004 1-800-255-7778RDSOCM-to-BRAM InterfacesFigure 3-4 provide

Page 57 - UG018_10_102001

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 15UG018 (v2.0) August 20, 2004 1-800-255-7778ROEA The PowerPC operating-environment archit

Page 58 - PLBC405ICUBUSY (Input)

150 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRFigur

Page 59 - PLBC405ICUERR (Input)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 151UG018 (v2.0) August 20, 2004 1-800-255-7778RNote: For backward compatibility with Virt

Page 60

152 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRFigur

Page 61 - Description Where Used

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 153UG018 (v2.0) August 20, 2004 1-800-255-7778RISOCM Input PortsTable 3-6 describes the I

Page 62 - PLB/BIU Outputs:

154 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRISOCM

Page 63

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 155UG018 (v2.0) August 20, 2004 1-800-255-7778RISOCM Output PortsTable 3-8 describes the

Page 64

156 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRISOCM

Page 65

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 157UG018 (v2.0) August 20, 2004 1-800-255-7778RFigure 3-9 shows an example of an ISOCM-to

Page 66

158 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRNote:

Page 67 - ISPLB Aborted Fetch Request

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 159UG018 (v2.0) August 20, 2004 1-800-255-7778Rlocations. These bits are decoded against

Page 68 - Data-Side PLB Operation

16 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Preface: About This GuideRUISA The PowerPC us

Page 69

160 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRISCNT

Page 70

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 161UG018 (v2.0) August 20, 2004 1-800-255-7778RFeatures Introduced in Virtex-4 and Compar

Page 71 - Unaligned Accesses

162 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRFigur

Page 72 - UG018_05_102001

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 163UG018 (v2.0) August 20, 2004 1-800-255-7778RFigure 3-12: DSOCM DCR Registers for Virte

Page 73 - C405PLBDCUREQUEST (Output)

164 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRFigur

Page 74 - C405PLBDCUSIZE2 (Output)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 165UG018 (v2.0) August 20, 2004 1-800-255-7778RThe following section describes the DCR bi

Page 75 - C405PLBDCUWRITETHRU (Output)

166 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRDCR W

Page 76 - C405PLBDCUBE[0:7] (Output)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 167UG018 (v2.0) August 20, 2004 1-800-255-7778RDCR Read AccessIf the ISINIT register is r

Page 77 - Byte Enables [0:7]

168 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRFigur

Page 78 - C405PLBDCUABORT (Output)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 169UG018 (v2.0) August 20, 2004 1-800-255-7778RBRAMs that interface with the ISOCM contro

Page 79

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 17UG018 (v2.0) August 20, 2004 1-800-255-7778RChapter 1Introduction to the PowerPC 405 Pro

Page 80 - PLBC405DCUADDRACK (Input)

170 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRrouti

Page 81 - PLBC405DCUSSIZE1 (Input)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 171UG018 (v2.0) August 20, 2004 1-800-255-7778RIn multi-cycle mode, initial wait cycles a

Page 82 - PLBC405DCURDDACK (Input)

172 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRIn or

Page 83 - PLBC405DCUWRDACK (Input)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 173UG018 (v2.0) August 20, 2004 1-800-255-7778Rmode and multi-cycle Mode. The timing inte

Page 84 - PLBC405DCUERR (Input)

174 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRDSOCM

Page 85

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 175UG018 (v2.0) August 20, 2004 1-800-255-7778RIn multi-cycle mode, initial wait cycles a

Page 86

176 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRIn th

Page 87

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 177UG018 (v2.0) August 20, 2004 1-800-255-7778Rperiod should be used. Note that this is o

Page 88

178 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRDSOCM

Page 89

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 179UG018 (v2.0) August 20, 2004 1-800-255-7778RDSOCM Data Store, Variable LatencyFigure 3

Page 90

18 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 1: Introduction to the PowerPC 405 Pr

Page 91

180 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerRFigur

Page 92

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 181UG018 (v2.0) August 20, 2004 1-800-255-7778RApplication Notes and Reference DesignsXil

Page 93

182 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 3: PowerPC 405 OCM ControllerR

Page 94

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 183UG018 (v2.0) August 20, 2004 1-800-255-7778RChapter 4PowerPC 405 APU ControllerThis ch

Page 95

184 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 4: PowerPC 405 APU ControllerRThe A

Page 96

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 185UG018 (v2.0) August 20, 2004 1-800-255-7778Rhas a configurable format and is a true ex

Page 97

186 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 4: PowerPC 405 APU ControllerRBlock

Page 98

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 187UG018 (v2.0) August 20, 2004 1-800-255-7778RInstruction DecodingFCM instructions can b

Page 99

188 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 4: PowerPC 405 APU ControllerRThe d

Page 100 - Table 2-20

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 189UG018 (v2.0) August 20, 2004 1-800-255-7778RThe extended op-code for Load/Store operat

Page 101 - External DCR Bus Interface

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 19UG018 (v2.0) August 20, 2004 1-800-255-7778Rx Special-purpose registers for controlling

Page 102 - UG018_52_042304

190 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 4: PowerPC 405 APU ControllerRFCM U

Page 103 - Processor Core

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 191UG018 (v2.0) August 20, 2004 1-800-255-7778RFCM internal data hazards such as read-aft

Page 104 - Virtex-4-FX

192 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 4: PowerPC 405 APU ControllerRUDI C

Page 105

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 193UG018 (v2.0) August 20, 2004 1-800-255-7778RThe reset value of the individual UDI regi

Page 106 - DCRC405ACK/EXTDCRACK (Input)

194 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 4: PowerPC 405 APU ControllerRAPU C

Page 107 - DCR Outputs:

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 195UG018 (v2.0) August 20, 2004 1-800-255-7778RFCMAPUDCDLDSTWD FCM decoded load/store in

Page 108

196 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 4: PowerPC 405 APU ControllerRAPU C

Page 109

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 197UG018 (v2.0) August 20, 2004 1-800-255-7778RAPU Controller AttributesThe following inp

Page 110 - EICC405EXTINPUTIRQ

198 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 4: PowerPC 405 APU ControllerRTable

Page 111 - PPC405 JTAG Debug Port

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 199UG018 (v2.0) August 20, 2004 1-800-255-7778RFCM Interface Timing SpecificationAutonomo

Page 112

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com UG018 (v2.0) August 20, 20041-800-255-7778"Xilinx" and the Xilinx logo shown abo

Page 113 - JTAG Instruction Register

20 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 1: Introduction to the PowerPC 405 Pr

Page 114 - UG018_71_100803

200 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 4: PowerPC 405 APU ControllerRNote:

Page 115 - UG018_76_032504

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 201UG018 (v2.0) August 20, 2004 1-800-255-7778RBlocking TransactionsNote: Actual timing r

Page 116 - UG018_75_032504

202 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 4: PowerPC 405 APU ControllerRNon-B

Page 117 - PPC405 Cores)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 203UG018 (v2.0) August 20, 2004 1-800-255-7778RFCM Load InstructionNote: Load data can ar

Page 118 - UG018_73_032504

204 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 4: PowerPC 405 APU ControllerRNote:

Page 119 - JTAG Logic

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 205UG018 (v2.0) August 20, 2004 1-800-255-7778RFCM ExceptionNote: FCMAPUEXEPTION may be s

Page 120 - Primitive

206 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 4: PowerPC 405 APU ControllerRFCM D

Page 121

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 207UG018 (v2.0) August 20, 2004 1-800-255-7778RAppendix ARISCWatch and RISCTrace Interfac

Page 122

208 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Appendix A: RISCWatch and RISCTrace Interf

Page 123

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 209UG018 (v2.0) August 20, 2004 1-800-255-7778RRISCTrace InterfaceThe RISCTrace tool comm

Page 124

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 21UG018 (v2.0) August 20, 2004 1-800-255-7778RPowerPC 405 Software FeaturesThe PowerPC 405

Page 125

210 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Appendix A: RISCWatch and RISCTrace Interf

Page 126

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 211UG018 (v2.0) August 20, 2004 1-800-255-7778RTable A-4: PowerPC 405 to RISCTrace Signal

Page 127

212 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Appendix A: RISCWatch and RISCTrace Interf

Page 128 - Debug Interface

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 213UG018 (v2.0) August 20, 2004 1-800-255-7778RAppendix BSignal SummaryInterface SignalsT

Page 129 - DBGC405DEBUGHALT (Input)

214 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Appendix B: Signal SummaryRAPUFCMXERCA V-4

Page 130 - C405DBGMSRWE (Output)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 215UG018 (v2.0) August 20, 2004 1-800-255-7778RC405JTGCAPTUREDR (OUTPUT) V-II Pro and V-4

Page 131 - Trace Interface

216 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Appendix B: Signal SummaryRC405PLBICUABUS[

Page 132

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 217UG018 (v2.0) August 20, 2004 1-800-255-7778RCPMC405TIMERCLKEN V-II Pro and V-4I CPM 1

Page 133 - C405TRCCYCLE (Output)

218 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Appendix B: Signal SummaryREICC405EXTINPUT

Page 134 - TRCC405TRACEDISABLE (Input)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 219UG018 (v2.0) August 20, 2004 1-800-255-7778RFCMAPUDONE V-4 I FCM 0 Indicates the compl

Page 135 - If Unused Function

22 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 1: Introduction to the PowerPC 405 Pr

Page 136 - MCBJTAGEN (Input)

220 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Appendix B: Signal SummaryRISOCMDCRBRAMRDS

Page 137 - MCPPCRST (Input)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 221UG018 (v2.0) August 20, 2004 1-800-255-7778RPLBC405DCUWRDACK (INPUT) V-II Pro and V-4I

Page 138

222 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Appendix B: Signal SummaryRTIEC405DETERMIN

Page 139 - Introduction

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 223UG018 (v2.0) August 20, 2004 1-800-255-7778RAppendix CProcessor Block Timing ModelThis

Page 140 - Functional Features

224 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Appendix C: Processor Block Timing ModelRP

Page 141

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 225UG018 (v2.0) August 20, 2004 1-800-255-7778RTable C-2: Parameters Relative to the Core

Page 142 - OCM Controller Operation

226 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Appendix C: Processor Block Timing ModelRC

Page 143

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 227UG018 (v2.0) August 20, 2004 1-800-255-7778R Table C-3: Parameters Relative to the DCR

Page 144 - Store-data Bypass

228 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Appendix C: Processor Block Timing ModelR

Page 145 - UG018_37_020102

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 229UG018 (v2.0) August 20, 2004 1-800-255-7778RTPCKDO_FCM Data Outputs APUFCMINSTRUCTION[

Page 146 - DSOCM Input Ports

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 23UG018 (v2.0) August 20, 2004 1-800-255-7778RReal ModeIn real mode, programs address phys

Page 147 - DSOCM Input Ports: Attributes

230 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Appendix C: Processor Block Timing ModelR

Page 148 - DSOCM Output Ports

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 231UG018 (v2.0) August 20, 2004 1-800-255-7778R Clock to Out: TPCKCO_ISOCM Control output

Page 149 - DSOCM-to-BRAM Interfaces

232 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Appendix C: Processor Block Timing ModelRF

Page 150 - *ENA can be tied off

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 233UG018 (v2.0) August 20, 2004 1-800-255-7778Aabortdata-side PLB 78, 97instruction-side

Page 151 - Global signals from FPGA

234 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Rcacheable 49non-cacheable request size 4

Page 152 - UG018_38_020102

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 235UG018 (v2.0) August 20, 2004 1-800-255-7778Rprocessor resetSee core reset.programmable

Page 153 - UG018_38b_11210

236 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004R

Page 154 - ISOCM Input Ports, Attributes

24 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 1: Introduction to the PowerPC 405 Pr

Page 155 - ISOCM Output Ports

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 25UG018 (v2.0) August 20, 2004 1-800-255-7778RSpecial-Purpose RegistersThe processor conta

Page 156

26 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 1: Introduction to the PowerPC 405 Pr

Page 157 - UG018_49_112103

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 27UG018 (v2.0) August 20, 2004 1-800-255-7778Rread ports and two write ports. During the d

Page 158 - Programmer’s Model

28 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 1: Introduction to the PowerPC 405 Pr

Page 159 - DSCNTL Registers

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 29UG018 (v2.0) August 20, 2004 1-800-255-7778RTimer ResourcesThe PowerPC 405 contains a 64

Page 160 - ISCNTL Registers

UG018 (v2.0) August 20, 2004 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778PowerPC™ 405 Processor Block Reference Guide UG0

Page 161

30 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 1: Introduction to the PowerPC 405 Pr

Page 162 - UG018_46_042304

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 31UG018 (v2.0) August 20, 2004 1-800-255-7778Rcaches and the time associated with performi

Page 163 - UG018_46b_042304

32 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 1: Introduction to the PowerPC 405 Pr

Page 164 - UG018_47_04230

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 33UG018 (v2.0) August 20, 2004 1-800-255-7778RChapter 2Input/Output InterfacesThis chapter

Page 165 - UG018_47b_05120

34 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRAppendix B

Page 166 - DCR Write Access

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 35UG018 (v2.0) August 20, 2004 1-800-255-7778RClock and Power Management InterfaceThe cloc

Page 167 - DCR Read Access

36 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRi The DBGC

Page 168 - UG018_69_042304

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 37UG018 (v2.0) August 20, 2004 1-800-255-7778RCPM Interface I/O Signal DescriptionsThe fol

Page 169 - UG018_69b_05120

38 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRCPMC405TIM

Page 170 - ISOCM Instruction Fetching

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 39UG018 (v2.0) August 20, 2004 1-800-255-7778RC405CPMMSREE, C405CPMMSRCE, and C405CPMTIMER

Page 171 - UG018_60_03060

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com UG018 (v2.0) August 20, 20041-800-255-7778

Page 172 - Writing to ISBRAM

40 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRx PLBCLK,

Page 173 - UG018_66_03060

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 41UG018 (v2.0) August 20, 2004 1-800-255-7778Rclocks for the OCM controllers in the proces

Page 174 - UG018_67_030603

42 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRCPU Contro

Page 175 - UG018_62_03060

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 43UG018 (v2.0) August 20, 2004 1-800-255-7778Rinstructions following the load require the

Page 176 - DSOCM Store, Fixed Latency

44 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRJTGC405TRS

Page 177 - Controller Only)

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 45UG018 (v2.0) August 20, 2004 1-800-255-7778RReset Interface I/O Signal DescriptionsThe f

Page 178 - UG018_62c_11210

46 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRRSTC405RES

Page 179 - UG018_63c_112103

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 47UG018 (v2.0) August 20, 2004 1-800-255-7778RTable 2-5, page 44 shows the valid combinati

Page 180 - UG018_65c_12080

48 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRx The requ

Page 181 - References

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 49UG018 (v2.0) August 20, 2004 1-800-255-7778Rplaced in the ICU fill buffer, but not in th

Page 182

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 5UG018 (v2.0) August 20, 2004 1-800-255-7778Preface: About This GuideGuide Contents . . .

Page 183

50 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRx The pref

Page 184 - Resynchronization_Interface

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 51UG018 (v2.0) August 20, 2004 1-800-255-7778RInstruction-Side PLB Interface I/O Signal De

Page 185 - Enabling the APU Controller

52 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRC405PLBICU

Page 186 - Instruction Format

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 53UG018 (v2.0) August 20, 2004 1-800-255-7778RC405PLBICUSIZE[2:3] (Output)These signals ar

Page 187 - Instruction Decoding

54 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRC405PLBICU

Page 188 - FCM Load/Store Instructions

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 55UG018 (v2.0) August 20, 2004 1-800-255-7778RPLBC405ICUADDRACK (Input)When asserted, this

Page 189 - Integer Divide Instructions

56 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRx When a 6

Page 190 - Execution Hazards

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 57UG018 (v2.0) August 20, 2004 1-800-255-7778RThe ICU reads either the low 32 bits or the

Page 191 - APU Controller Configuration

58 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRtransfer o

Page 192 - UDI Configuration Registers

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 59UG018 (v2.0) August 20, 2004 1-800-255-7778RFollowing reset, the processor block prevent

Page 193 - Interface Definition

6 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004RInstruction-Side PLB Operation. . . . . . . .

Page 194 - APU Controller Input Signals

60 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRfastest ra

Page 195

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 61UG018 (v2.0) August 20, 2004 1-800-255-7778RISPLB Non-Pipelined Cacheable Sequential Fet

Page 196 - APU Controller Output Signals

62 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRISPLB Non-

Page 197 - APU Controller Attributes

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 63UG018 (v2.0) August 20, 2004 1-800-255-7778RThe first line read (rl1) is requested by th

Page 198 - TIEAPUCONTROL Bits

64 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRAfter the

Page 199 - Autonomous Transactions

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 65UG018 (v2.0) August 20, 2004 1-800-255-7778Rin cycles 10 through 15). The line is not ca

Page 200 - UG018_04_03_032504

66 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRISPLB 2:1

Page 201 - Blocking Transactions

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 67UG018 (v2.0) August 20, 2004 1-800-255-7778RISPLB 3:1 Core-to-PLB Line FetchThe timing d

Page 202 - Non-Blocking Transactions

68 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRData-Side

Page 203 - FCM Load Instruction

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 69UG018 (v2.0) August 20, 2004 1-800-255-7778Rx The target address of the data to be acces

Page 204 - FCM Store Instruction

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 7UG018 (v2.0) August 20, 2004 1-800-255-7778RISOCM Controller Instruction Fetch Operation

Page 205 - FCM Exception

70 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRi An eight

Page 206 - UG018_04_12_042304

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 71UG018 (v2.0) August 20, 2004 1-800-255-7778RAn eight-word line-write transfer occurs whe

Page 207 - Appendix A

72 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesR Figure 2-

Page 208

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 73UG018 (v2.0) August 20, 2004 1-800-255-7778RData-Side PLB Interface I/O Signal Descripti

Page 209 - RISCTrace Interface

74 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRIf the tra

Page 210

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 75UG018 (v2.0) August 20, 2004 1-800-255-7778RAn eight-word line transfer moves the cache

Page 211

76 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRC405PLBDCU

Page 212

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 77UG018 (v2.0) August 20, 2004 1-800-255-7778RTable 2-13 shows the possible values that ca

Page 213 - Signal Summary

78 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRC405PLBDCU

Page 214 - Appendix B: Signal Summary

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 79UG018 (v2.0) August 20, 2004 1-800-255-7778Ran aborted data-write request. In this case,

Page 215

8 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004RFCM Store Instruction . . . . . . . . . . . .

Page 216

80 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRPLBC405DCU

Page 217

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 81UG018 (v2.0) August 20, 2004 1-800-255-7778Rmust abort a DCU request (move no data) if t

Page 218

82 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRx During a

Page 219

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 83UG018 (v2.0) August 20, 2004 1-800-255-7778RPLBC405DCURDWDADDR[1:3] (Input)These signals

Page 220

84 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRis transfe

Page 221

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 85UG018 (v2.0) August 20, 2004 1-800-255-7778RThe PLB slave should latch error information

Page 222

86 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRx The DCU

Page 223 - Processor Block Timing Model

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 87UG018 (v2.0) August 20, 2004 1-800-255-7778RThe second line read (rl2) is requested by t

Page 224

88 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRis sent fr

Page 225 - Parameter Function Signals

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 89UG018 (v2.0) August 20, 2004 1-800-255-7778RThe second word read (rw2) is requested by t

Page 226

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 9UG018 (v2.0) August 20, 2004 1-800-255-7778RPrefaceAbout This GuideThis guide serves as a

Page 227

90 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRThe third

Page 228

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 91UG018 (v2.0) August 20, 2004 1-800-255-7778RDSPLB Three Consecutive Word WritesThe timin

Page 229

92 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRDSPLB Line

Page 230

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 93UG018 (v2.0) August 20, 2004 1-800-255-7778RDSPLB Word Write/Word Read/Word Write/Line R

Page 231

94 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRDSPLB Word

Page 232 - UG012_C1_02_121701

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 95UG018 (v2.0) August 20, 2004 1-800-255-7778RDSPLB 2:1 Core-to-PLB Line ReadThe timing di

Page 233

96 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRDSPLB 3:1

Page 234

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 97UG018 (v2.0) August 20, 2004 1-800-255-7778RDSPLB Aborted Data-Access RequestThe timing

Page 235

98 www.xilinx.com PowerPC™ 405 Processor Block Reference Guide1-800-255-7778 UG018 (v2.0) August 20, 2004Chapter 2: Input/Output InterfacesRDevice-Con

Page 236

PowerPC™ 405 Processor Block Reference Guide www.xilinx.com 99UG018 (v2.0) August 20, 2004 1-800-255-7778RInternal Device Control Register (DCR) Inter

Comments to this Manuals

No comments